vivado Aurora 8B/10B IP核(10)-复位和掉电

复位信号用于将 Aurora 8B / 10B IPCORE 设置为已知的启动状态。 在复位时,内核停止任何
当前操作并重新初始化新通道。在全双工模块上,复位信号复位通道的 TX 和 RX 侧。在单工模块中,tx_system_reset 复位 TX通道,rx_system_reset 复位 RX 通道。 gt_reset 信号复位最终复位内核的收发器。
注意:tx_system_reset 与单工边带接口上使用的 tx_reset 和 rx_reset 信号分开。
用例 1: 双工核心中的复位断言双工核心中的复位置位应至少为 6 个 user_clk 时间段。 结果如图所示,channel_up 在三个 user_clk 周期后被置为无效。
用例 2: 在双工核心中的 gt_reset 断言
图显示了双工 IPCORE 中的 gt_reset 断言,并且应至少为六个 init_clk_in 时间段。 因此,在几个时钟周期之后,user_clk 停止,因为收发器中没有 txoutclk,并且随后将 channel_up 置为无效。
下图显示了在系统中连接的 simplex-TX 内核和 simplex-RX 内核。TX_IP 和 RX_IP 可以在相同
或多个设备中。
下图显示了 simplex 内核中 tx_system_reset 和 rx_system_reset 断言的推荐过程。
1. tx_system_reset 和 rx_system_reset 被断言至少六个时钟 user_clk 时间段。
2.在三个 user_clk 周期后,tx_channel_up 和 rx_channel_up 被置为无效。
3.在 tx_system_reset 被取消置位之后,rx_system_reset 被取消置位(或)释放。
3.在 tx_system_reset 被取消置位之后,rx_system_reset 被取消置位(或)释放。 这确保了
simplex-TX 内核中的收发器能够更早地开始传输初始化数据,并增强了单工 RX 核心对准正确数据序列的可能性。
4.在 tx_channel_up 断言之前,rx_channel_up 被断言。必须通过 simplex-TX 核心和 Simplex-TX 内核中的单工定时器参数(C_ALIGNED_TIMER,C_BONDED_TIMER 和 C_VERIFY_TIMER)来满足此条件,以满足此条件。
5. 当单片机 TX 核心在配置的时间内完成 Aurora 8B / 10B 协议信道初始化序列传输时,tx_channel_up 被置位。断言 tx_channel_up 最后确保 simplex-TX 内核在 Simplex-RX 核心准备就绪时发送 Aurora初始化序列。
Aurora 8B / 10B 双工上电顺序
在板上电顺序期间,gt_reset 和复位信号都必须为高电平。
收发器参考时钟(GT_REFCLK)和无核心运行时钟(INIT_CLK)预计在上电时稳定,以使 Aurora 8B / 10B IPCORE 正常工作。
Aurora 8B/10B 双工正常工作复位顺序
在正常操作期间,在确定 gt_reset 信号之前,期望复位信号至少被确定 128 个 user_clk 时间
周期,以确保在由于用户_clk 信号被抑制之前可编程逻辑中的核心部分已经达到已知的复位状态 断言 gt_reset
Aurora 8B/10B 单工开机序列
在上电期间,TX 单工和 RX 单工内核的 gt_reset 和复位信号预计为高电平。 预计 INIT_CLK
和 GT_REFCLK 在上电期间是稳定的。 TX 板上的 gt_reset 信号必须先被取消置位,然后在 RX 侧消除gt_reset; 这样可确保 RX 端的 CDR 锁定正确。
单工上电顺序:
1.Deassert TX-side gt_reset(A)
2.取消旁路 RX 侧 gt_reset(C)
3.与 user_clk(D)同步脱扣 RX 侧复位
4.与 user_clk(B)同步脱扣 TX 侧复位
注意:必须注意确保(D)至(B)时差尽可能小。
Aurora 8B/10B 单工正常工作复位顺序
对于单工配置,建议 TX 侧复位序列与 RX 侧复位序列紧密耦合,因为 TX 和 RX 链路没有通信反馈路径。请注意,如果 RX 侧被复位,则没有直接机制来通知 TX 侧的复位。因此,对于 Aurora 8B / 10B 单工内核,需要在系统级别处理复位耦合。每个 TX 侧的复位必须在 RX 侧后面,如图所示,RX 端复位失效和 TX 侧复位失效之间的时间必须保持尽可能的最小。在确认 gt_reset 之前,需要至少 128 个时钟周期,以确保在通过断言 gt_reset 来抑制 user_clk 之前,可编程逻辑中的核心部分达到已知的复位状态。 gt_reset 的断言时间必须至少为 6 个 init_clk 时间段,以满足核心中包含的去跳频电路。
掉电
这是一个高电平有效信号。当断电功能断开时,Aurora 8B/10B 内核中的收发器关闭,将其置
于非工作,低功耗模式。当断电功能无效时,内核自动复位。在停电解除断电之后,gt_reset 必须
被断言。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值