FPGA实验 - ROM调用

ROM调用

  • 同样的通过IP核调用创建一个.mif文件,选择用模块的调用,读取数据。

项目设计

  • 设计一个ROM,该控制器负责输出0~255的地址数据,将地址总线连接到ROM地址的输入端,查看ROM输出的数据是否正确。
  • ROM是只读存储器,因此需要在指定地址后,ROM才能输出对应地址的数据。

模块框图

在这里插入图片描述

模块名称 功能描述
rom_test 顶层模块,用作级联
rom_ctrl rom控制模块,用作读取
my_rom rom的IP核
端口名称 功能描述
sys_clk 系统时钟输入,50MHz
rst_n 系统复位信号,低电平有效
info_out 信号输出端口
内部连线 功能描述
addr rom_ctrl产生的地址信号

代码实现

  • 打开生成的my_rom.v文件,查看端口调用列表
    在这里插入图片描述

  • rom_ctrl模块代码如下

    	// rom_ctrl模块:用以对ROM产生控制操作
    	module rom_ctrl(sys_clk, rst_n, addr);
    		
    		input sys_clk;
    		input rst_n;
    		
    		// 地址输出
    		output reg 
  • 0
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值