set_data_check专题[使用,report_timing,setup/hold检查]

目录

 1.set_data_check基本概念及语法

2.检查path及report_timing报告格式

2.1 setup

2.2 hold

2.3 如何查看timing report

3.使用set_data_check完成信号间skew约束

3.1 setup/hold一起约束

3.2 只用setup约束


 1.set_data_check基本概念及语法

        set_data_check用于约束『数据-对-数据』的『建立保持』时间检查,通常被称为非时序约束 ( non-sequential constraints ), 理论上set_data_check 可以设在任意的两个data pin 上,其中一个pin 称为 constrained pin 类似于寄存器的data pin, 另一个pin 称为related pin 类似于寄存器的clock pin.

        虽然约束可以设置在任意两个data pin,但实际中我们只会关心从同一时钟域到另一个相同时钟域的信号之间的skew。

        其使用语法如下:

string set_data_check
                    -from from_object
                       | -rise_from from_object
                       | -fall_from from_object
                    -to to_object
                       | -rise_to to_object
                       | -fall_to to_object
                    [-setup | -hold]
                    [-clock clock_object]
                    [check_value]

需要说明的是:

1.不指定-setup和-hold check_value会同时对setup和hold有效

2.-clock选项指定了related pin的一个launch clk来作为分析,否则一旦related pin有多个launch clk则所有的launch clk都会分析检查

简单的格式就是:

set_data_check -from [related pin] -to [constrained pin]                  

from的是related pin

to的是constrained pin

2.检查path及report_timing报告格式

设related pin为pin_A,pin_A的launch flip-flop为src_reg_a, launch clk为src_clk_a

设constrained pin为pin_B,pin_B的launch flip-flop为src_reg_b, launch clk为src_clk_b

则工具会分别分析related data path和constrained data path的timing,下面分setup和hold两种情况分别介绍timing分析过程

set_data_check -from [get_pins pin_A] -to [get_pins pin_B] -setup 1000
set_data_check -from [get_pins pin_A] -to [get_pins pin_B] -hold  5000                  

2.1 setup

1.分析constrained pin得到data arrival time

clock src_clk_b (rise edge)  0.0        0.0

clock network delay          0.01       0.01

src_reg_b/CP                 0.0        0.01

src_reg_b/Q                  0.06       0.07

...............

pin_B                        0.0        0.xx

data arrival time                       0.xx

2.分析related pin得到 data required time

clock src_clk_a
  • 5
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
set_disable_timing是一种约束命令,用于禁用特定路径的时序分析。它通常用于要求某个库单元之间的时序关系不被考虑。例如,使用set_disable_timing -from cell.输入 -to cell.输出可以禁用从输入到输出的时序路径的分析。这在一些特定情况下是有用的,比如对于异步端口之间的时序关系,因为这些端口没有时钟,时序无法分析相关的路径。\[3\] 与set_false_path相比,set_disable_timing更加具体和局部化。set_false_path是一种全局的时序例外命令,用于覆盖一个或多个周期的时序关系。如果一个例外是set_false_path,而另一个例外不是,那么set_false_path具有更高的优先级。\[1\] 如果需要解除set_false_path的影响,可以使用reset_path或reset_design命令。另外,可以使用report_timing_requirements命令列出所有的point-to-point例外,以便进行进一步的分析。\[5\] #### 引用[.reference_title] - *1* [DC综合基本概念-timing exception:set_false_path,set_disable_timing,set_multicycle_path](https://blog.csdn.net/weixin_52822919/article/details/129998679)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-99b5c134546dd4fed731a53263024813.142^v90^control_2,239^v3^control"}} ] [.reference_item] - *2* [IC基本知识学习](https://blog.csdn.net/weixin_43755290/article/details/107940622)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-99b5c134546dd4fed731a53263024813.142^v90^control_2,239^v3^control"}} ] [.reference_item] - *3* [set_disable_timingset_data_check,相关一条efuse时序违反路径的梳理。](https://blog.csdn.net/u011729865/article/details/120621951)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-99b5c134546dd4fed731a53263024813.142^v90^control_2,239^v3^control"}} ] [.reference_item] - *4* [set_false_path 与 set_disable_timing 的区别](https://blog.csdn.net/u011729865/article/details/119959417)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-99b5c134546dd4fed731a53263024813.142^v90^control_2,239^v3^control"}} ] [.reference_item] - *5* [DC综合基本概念-timing exception:set_false_path,set_disable_timing,set_multicycle_path](https://blog.csdn.net/weixin_52822919/article/details/129998679)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-99b5c134546dd4fed731a53263024813.142^v90^control_2,239^v3^control"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值