verilog数组端口的处理方法

Verilog目前并不支持数组型端口,这不利于一些信号的管理(仅有System Veilog允许这样定义) 

module example(
  input				clk,
  ...
  ...
  output	[7:0]	array	[15:0]  //Verilog-2001不支持这样的端口定义
 );

...

 endmodule

一般情况下我们选择将数组合并为一个大位宽信号进行输出

module example(
  input		wire				clk,
  ...
  ...
  output	wire	[8*16-1 : 0]	array_pack
 );

reg		[7 : 0]	array	[15 : 0];

genvar i;
generate
	for(i=0;i<15;i=i+1) begin : pack
   		assign array_pack[8*i+7 : 8*i] = array[i];
   end
endgenerate

  • 4
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值