[SV]SystemVerilog文件讀寫案例

SystemVerilog文件讀寫案例

       摘要:本文介紹一種SystemVerilog讀寫文件的案例,全部代碼已經過實戰驗證。可直接应用到验证环境中。文件读写在SRAM验证及PHY Layer电路验证中非常有用,本文将提供一个应用模板。

一、讀取文件內容并打印出來:

program read_file();
  integer    f;
  string     key;

  reg [31:0]    value;
  reg [31:0]    hash [string];

  initial begin : file_read
    f = $fopen("file.txt", "r");
    if(f == 0) disable file_read;

    while(!feof(f)) begin
      $fscanf(f, "%s %h\n", key, value);   //$fscanf裡面的格式控制符與文件格式要Match
      hash[key] = value;
    end

    foeeach(hash[i]) begin
      $display("Hash Key %0s --> %0h", i hash[i])
    end

endprogram

二、把讀文件封裝成一個module。

module openfile#(
  parameter FILE    = "txt",
  parameter DW      = 32,
  parameter PN      = 32
)
(
  input bit            rst_b,
  input bit            clk,
  input bit            pop_str,
  input bit [DW-1:0]   out_i
)

  integer              int_ifptr, int_count;
  reg signed [31:0]    data_i_scan[0:PN-1];
  integer              data_i_tmp[$];
  reg                  dout_i;

  initial begin : open_file
    repeat(10) @(posedge clk);
    int_ifptr = $fopen($sformatf(FILE), "r");
    for(int i = 0; i < PN; i = i + 1) begin
      int_count = $fscanf(int_ifptr, "%0h\n", data_i_scan[i]);
      data_i_tmp.push_back(data_i_scan[i]);
    end
    $fclose(int_ifptr);
  end

  always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
      dout_i <= {32{1'b0}};
    end
    else if(data_i_tmp.size() != 0) begin
      if(pop_str) begin
        dout_i <= data_i_tmp.pop_front();
      end
    end
  end

  assign out_i = d_out_i[DW-1:0];

endmodule

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

元直数字电路验证

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值