后仿真中的必懂VCS仿真选项之+nospecify/+notimingcheck/

今天,抽空学习和整理一下后仿真中的VCS仿真选项之+nospecify/+notimingcheck/+no_notifier共三个选项。“后仿真很重要,坚持点滴学习”

 一  选项:+nospeicy 

作用:在仿真时忽略库文件中指定的延时信息

以标准库单元中一个与非门为例,库.v文件中作了如下描述:
module nand(X,A1,A2)
   output X ;
   input A1,A2 ;
   specify
     (A1 => X) = 30 ;
     (A2 => X) = 50 ;
   endspecify
endmodule

在标准单元中,定义输入A1和A2到输出X的路径延时分别为:30、50 time units。只有添加这个选项,后仿真中的延时信息,才能起到作用。

二  选项:+notimingcheck

+notimingcheck :时序检查开关,比如定义在specify...endspecify模块中的setup/hold/width检查等,如使用了该option,则仿真时不检查时序,行为类似于RTL仿真。在PR未结束,sdf反标文件还没准备好时,可用该选项忽略延时,可用于功能性的粗略检查。但真正跑后仿真时,不可使用该选项,否则仿真有效性大大降低。可使用tfile来将特定的路径加到no timing check list file中。

notimingcheck在RTL级仿真中,可以避免如sram、flash以及你RTL中例化的std cell报出时序违背,而影响你的仿真结果。后仿真一般仅将notimingcheck设置在跨时钟域处理的第一级DFF上,以规避其报时序违背,导致仿真出现X态。第二级的时序是需要解决的(也就是说第二级不能出现时序问题,因为他属于同一个时钟域)。

怎么将“notimingcheck”这个参数只加到跨时钟域的第一级呢?
假设你有两个dff (分别为“u1/u2/u3”,“u1/u2/u4”) 不做时序。
你可以创建一个文件,我在这里命名为notimingcheck.cfg

文件内容为:
instance {
    u1/u2/u3,
    u1/u2/u4
} {noTiming};
然后在仿真编译中加入 “+optconfigfile+notimingcheck.cfg”
执行仿真即可。

instance也可以是module和tree。noTiming也可以是noIopath,noSpecify等。
  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值