FPGA Verilog HDL 系列实例--------多位数值比较器

Verilog HDL 之 多位数值比较器

一、原理

  在数值系统中,特别是在计算机中都具有运算功能,一种简单的运算就是比较它们的大小。数值比较器就是对两数A、B进行比较,以判断其大小的逻辑电路。

                  表1.1 多位比较器真值表

二、实现

在设计文件中输入Verilog代码

复制代码
 1   `timescale 1 ns / 1 ps
 2 
 3 module compare3 ( Y ,A ,B );
 4 
 5 input [3:0] A ;
 6 wire [3:0] A ;
 7 input [3:0] B ;
 8 wire [3:0] B ;
 9 
10 output [2:0] Y ;
11 reg [2:0] Y ;
12 
13 always @ ( A or B )
14     begin 
15         if ( A > B ) 
16             Y <= 3'b001;
17         else if ( A == B)
18             Y <= 3'b010;
19         else 
20             Y <= 3'b100;
21       end
22 endmodule
复制代码
  • 4
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值