Verilog 变量类型

这篇博客介绍了Verilog中的变量类型,包括wire和reg。wire代表物理连线,缺省值为Z,而reg表示数据存储单元,缺省值为X。reg类型可以用于创建向量和数组,且在循环中可变选择位。integer作为32位有符号整数,常用于计数。memory类型则用于建模RAM、ROM和reg文件,需要常数表达式指定地址。文章强调了wire和reg、memory的区别,并指出Verilog不支持多维数组。
摘要由CSDN通过智能技术生成

wire

代表物理连线。缺省值是Z
类型:wire,tri,wand

寄存器型

表示一个抽象的数据存储单元,只能在过程语句中被赋值。
缺省值是X
类型:reg,integer,real
在这里插入图片描述

wire与reg

  1. 标量,向量,数组
    1位宽,是一个标量。如果指定了范围,那么net或reg就变成了一个多比特的实体,称为向量。数组见memory。
    在这里插入图片描述
  2. 位选择
addr [0] = 1; //单独选择
addr [23:16] = 8'h23;  //范围选择

可变选择:可以在循环中有效地使用它来选择矢量的部分。虽然起始位可以改变,但宽度必须是恒定的。

[<start_bit> +: <width>] // part-select increments from start-bit
[<start_bit> -: <width>] // part-select decrements from start-bit
  • 0
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值