(68)时序约束:[set_clock_latency约束]

100 篇文章 4 订阅 ¥259.90 ¥99.00

(68)时序约束:[set_clock_latency约束]

1 本节目录

1.1 本节目录
1.2 基本时序约束概念
1.3 时序约束:[set_clock_latency约束]
1.4 结束语

2 基本时序约束概念

A、约束是FPGA设计中所不可缺少的,通过它可以指定设计各方面的设计要求,一般常见的是包括引脚位置约束、区域约束、时序约束、电平约束等几个方面。
B、时序约束:主要用于规范设计的时序行为,表达设计者期望满足的时序要求,知道综合和布局布线截断的优化算法等;
C、布局布线约束:主要指定芯片I/O引脚位置和知道软件正在芯片特定的物理区域进行布局布线;
D、其他约束:指的是目标芯片型号,接口位置和电气特性等约束属性。
E、理解约束的目的为设计服务,是为了保证设计满足时序要求,指导FPGA工具进行综合和实现,约束是Vivado等工具努力实现的目标。
F、同步时钟与异步时钟介绍
(1)同步时钟:两个是时钟之间的相位关系是可预测的,那么这两个时钟是同步时钟。
(2)异步时钟:无法判定两个时钟间相位关系时,则可以称这两个时钟为异步时钟(asynchronous clocks)。两个来自不同晶振的时钟,一定是异步时钟。
(3)不可扩展时钟:当两个时钟无法保证在1000个时钟周期内重新对齐,则认为这两个时钟是不可扩展时钟。在进行时钟约束时,这两个时钟可以认为时异步时钟。

3 时序约束:[-set_clock_latency约束]

(1)时钟延迟约束概念
A、时钟从时钟源(比

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
set_clock_uncertainty是一个设置时钟不确定性的命令,它用于定义时钟的不确定性范围。在引用中,set_clock_uncertainty -setup 0.2 [get_clocks CLK_CONFIG]表示设置CLK_CONFIG时钟的建立时间不确定性为0.2,而set_clock_uncertainty -hold 0.05 [get_clocks CLK_CONFIG ]表示设置CLK_CONFIG时钟的保持时间不确定性为0.05。 set_clock_latency是一个设置时钟延迟的命令,它用于定义时钟信号的传输延迟。在引用中,set_clock_latency 0.8 [get_clocks CLK_CONFIG]表示设置CLK_CONFIG时钟的传输延迟为0.8,而set_clock_latency 1.9 -source [get_clocks SYS_CLK]表示设置SYS_CLK时钟的传输延迟为1.9。此外,set_clock_latency 0.851 -source -min [get_clocks CFG_CLK]和set_clock_latency 1.322 -source -max [get_clocks CFG_CLK]分别表示设置CFG_CLK时钟的最小和最大传输延迟为0.851和1.322。 set_clock_uncertainty和set_clock_latency都是在时钟设计和时序分析中使用的命令。set_clock_uncertainty用于考虑时钟不确定性,以确保电路在时序要求下正常工作。而set_clock_latency用于考虑时钟延迟,以确保时钟信号在各个时序路径中被正确地传输。两者都对于时钟和时序的稳定性和可靠性至关重要。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [数字电路静态时序分析基础三](https://blog.csdn.net/weixin_45799954/article/details/114948996)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值