Circuits--Combinational--Multiplexers

1.   2 to 1 multiplexer

module top_module( 
    input a, b, sel,
    output out ); 
    
    always @(*)
        begin
            case(sel)
                1'b0:  out=a;
                1'b1:  out=b;
            endcase
        end

endmodule

2.  2 to 1 bus multiplexer

module top_module( 
    input [99:0] a, b,
    input sel,
    output [99:0] out );

    always@(*)
        begin
            case(sel)
                1'b0: out=a;
                1'b1: out=b;
            endcase
        end
endmodule

3. 9 to 1 multiplexer

module top_module( 
    input [15:0] a, b, c, d, e, f, g, h, i,
    input [3:0] sel,
    output [15:0] out );
    always@(*)
    begin
    case (sel)
        4'b0000:
            begin
            out = a;
        	end
         4'b0001:
            begin
            out = b;
        	end
         4'b0010:
            begin
            out = c;
        	end
         4'b0011:
            begin
            out = d;
        	end
         4'b0100:
            begin
            out = e;
        	end
         4'b0101:
            begin
            out = f;
        	end
         4'b0110:
            begin
            out = g;
        	end
         4'b0111:
            begin
            out = h;
        	end
         4'b1000:
            begin
            out = i;
        	end
        default out = 16'b1111_1111_1111_1111;
    
    endcase
    end

endmodule

4. 256 to 1 multiplexer

module top_module( 
    input [255:0] in,
    input [7:0] sel,
    output out );
    
    integer i;
    always@(*)
        begin
            out=in[sel];
        end

endmodule

5. 256 to 1 4-bit multiplexer

module top_module( 
    input [1023:0] in,
    input [7:0] sel,
    output [3:0] out );

    always @(*)
        begin
            out={in[sel*4+3],in[sel*4+2],in[sel*4+1],in[sel*4]};
        end
endmodule

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值