VHDL程序的基本结构

一、VHDL语言基本结构如下:

  • 库的引用
  • 实体声明
  • 结构体的声明
  • 结构体的定义
  • 配置;
    以上实体声明和结构体是必须的,如果只使用标准库中的数据类型,则可不需要库的引用;如果只一个结构体,则配置不是必须的。

二、VHDL程序的注释

VHDL程序的注释由连续两个“- -”开头到本行结束

三、库的引用(VHDL库)

库一般是一些常用VHDL代码的集合,包括:数据类型的定义、函数定义、子程序定义、元件引用声明、常量定义等一些可复用或是共享的VHDL代码。程序引用了库就可以使用该库中的VHDL代码。
库的声明格式:
library库名;
use库名.库中程序包.程序包中的项;
例如

  • library ieee;

  • use ieee.std_Logic_1164.all;
    ieee是库名,是VHDL设计中使用频率最高的库之一,包括一些常用数据类型的定义及相关操作。ieee库有以下几个常用的程序包:

  • std_logic_1164库定义了std_logic和std_ulogic的数据类型

  • std_logic_signed库定义了与signed数据类型相关的函数

  • std_logic_unsigned库中定义了与unsigned数据类型相关的函数

  • std_logic_arith库定义了一些不同类型数据之间相互转换的函数
    ieee还包括math_real、bumberic_bit、numberi

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值