#systemverilog# 关键字之 task 和 function (2)

本文详细介绍了SystemVerilog中task和function的区别与用法,包括task无法通过return返回结果、function的返回值使用、task的耗时语句、函数与任务的调用规则,以及选择task还是function的建议。内容涵盖了参数类型、默认值、数组传递、ref参数等关键点。
摘要由CSDN通过智能技术生成

Verilog中,任务task和函数function之间有明显的区别,例如任务可以消耗时间而函数不能,函数里面不能带有诸如#100的时延语句或诸如@(posedge clock)、wait(ready)的阻塞语句,也不能调用任务(但是在systermverilog 中却可以调用task),还有verilog中的函数必须有返回值,并且返回值必须被使用,例如用到赋值语句中(也就是必须有等式赋值被使用)。
关于verilog中任务task和函数function的具体用法和区别参考我写过的一篇文章;
verilog中的任务task和函数function用法及区别

systemveilog中函数和任务的使用方法


1、一般情况下,不带参数的子程序在定义或调用时不需要带空括号();
2、begin...end为可选的</

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值