浅谈芯片验证中的仿真运行之 timeunit/timeprecision用法《理论篇》

概述

前一段时间,稍微浅析一点的总结了一下 timescale、timeformat系统函数在 systernverilog语言中的用法和用途。还有一个关于时间问题的关键字,timeunit & timeprecision

今天翻了翻<SystermVerilog_3.1a>第Section 18.10 章节,翻译下来大家看看试试。

摘录于原文(英文翻译能力不好,怕打扰了原意):

语法格式:

timeunit 100ps;
timeprecision 10fs;

翻译: 

【要点1】对于任何模块、程序、包或接口定义,或在任何编译单元范围内,最多只能有一个时

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值