浅谈芯片验证中的仿真运行之 timeunit/timeprecision用法《实战篇》续(二)

浅谈芯片验证中的仿真运行之 timeunit/timeprecision用法《实战篇》续(一)_那么菜的博客-CSDN博客

在前述一篇文章中,我们从案例中,可以知道如下的空间域下面,在经过timescale 和 timeunit/timeprecision 设置后,整体的时间单位和精度的具体划分如下图所示。但是从这个案例中,我们不妨多想一步:如下图红色圈出的部分,到底是timeunit/timeprecision 和 timescale 谁的设置优先级更高呢?

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值