#VCS# 关于VCS 编译选项:+vcs+initreg+random的理解(2)结果检查

#VCS# 关于VCS 编译选项:+vcs+initreg+random的理解(1)

前面,我们已经对 VCS 编译选项 +vcs+initreg+random 做了讲解。今天,我们从另一个角度,去看该选项的具体作用时间阶段。对于如何启动仿真调度机制,大家可以参考之前的文章《#VERDI# 关于使用Verdi 查看NBA 仿真调度的方法》。这里就不在啰嗦了。

代码:

`timescale 1ns/1ps
import uvm_pkg::*;
module tb_top();

reg clk,rst;

initial begin
clk = 0; 
forever #5 clk = ~ clk;
end

//initial begin
//rst = 1;
//#30 rst = 0; 
//end

always @ (posedge rst) begin:always_block1
   $display("The always block1 was fired @Time %f", $time());
end

always @ (negedge rst) begin:always_block2
   $display("The always block2 was fired @Time %f", $time());
end

always @ (rst) begin:always_block3
   $display("The always block3 was fired @Time %f", $time());
end

endmodule

(1)执行: ./simv +fsdb+delta +vcs+initreg+0 , 结果如下: 

 (2)执行: ./simv +fsdb+delta +vcs+initreg+1 , 结果如下: 

  (3)执行: ./simv +fsdb+delta +vcs+initreg+z , 结果如下: 

  (4)执行: ./simv +fsdb+delta +vcs+initreg+x , 结果如下:

问题:从以上四个波形,我们看到,只有  ./simv +fsdb+delta +vcs+initreg+0/1 得到了我们预期的效果,但是 ./simv +fsdb+delta +vcs+initreg+z/x ,虽然没有报错,但是却没有看到初始化成功。这里尚不清楚为什么?等待后续root cuase 吧。

解答:今天,回过头又重新理了一下思路,拍拍脑袋,呀,之前不是给了答案么。前一篇文章提到如下:

也就是说,该选项是不会随机出x/z状态的,不符合实际硬件电路。实际也只有1/0存在嘛。

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值