【HDLBits刷题】Count1to10.

60 篇文章 17 订阅
20 篇文章 4 订阅
本文详细介绍了如何使用Verilog HDL实现一个同步计数器,从基础模块声明到三种不同的always块设计,包括在复位输入下将计数器重置为1的逻辑。适合理解同步计数器工作原理和Verilog编程的读者。
摘要由CSDN通过智能技术生成

Make a decade counter that counts 1 through 10, inclusive. The reset input is synchronous, and should reset the counter to 1.

Module Declaration

module top_module (
    input clk,
    input reset,
    output [3:0] q);

还是1~10的计数器,唯一不同是同步复位为1.

module top_module (
    input clk,
    input reset,
    output [3:0] q);
    always @ (posedge clk)
        begin
            if(reset)
                q <= 4'b0001;
            else if(q <= 4'b1001)
                q <= q + 1'b1;
            else
                q <= 4'b0001;
                
        end

endmodule
module top_module (
    input clk,
    input reset,
    output [3:0] q);
    always @ (posedge clk)
        begin
            if(reset)
                q <= 4'b0001;
            else if(q > 4'b1001)
                q <= 4'b0001;
            else
                q <= q + 1'b1;
                
        end

endmodule
module top_module (
    input clk,
    input reset,
    output [3:0] q);
    always @ (posedge clk)
        begin
            if(reset || q > 4'b1001)
                q <= 4'b0001;
            else
                q <= q + 1'b1;
                
        end

endmodule

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值