xpm_cdc_async_rst

 xpm_cdc_async_rst #(
     //Common module parameters
     .DEST_SYNC_FF           (4), // integer; range: 2-10
     .INIT_SYNC_FF           (0), // integer; 0=disable simulation init values, 1=enable simulation init values
     .RST_ACTIVE_HIGH        (1)  // integer; 0=active low reset, 1=active high reset
 )xpm_cdc_async_rst_cam_inst (
     .src_arst               (I_axis_reset               ),
     .dest_clk               (w_cam_clk                  ),
     .dest_arst              (w_cam_reset                )
 );

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
xpm_cdc_array_single是Xilinx的一个函数,用于生成一个时钟域交叉域转换(CDC)单口RAM,其使用方法如下: ``` xpm_cdc_array_single #( .ADDR_WIDTH(ADDR_WIDTH), // 地址位宽 .DATA_WIDTH(DATA_WIDTH), // 数据位宽 .INIT_FILE(INIT_FILE), // 初始化文件名 .WRITE_MODE(WRITE_MODE), // RAM写模式 .READ_MODE(READ_MODE), // RAM读模式 .RAM_STYLE(RAM_STYLE), // RAM类型 .READ_LATENCY(READ_LATENCY), // 读取延迟 .WRITE_LATENCY(WRITE_LATENCY), // 写入延迟 .CLK_POLARITY(CLK_POLARITY), // 时钟极性 .ASYNC_READ(ASYNC_READ), // 异步读使能 .USE_MEM_INIT(USE_MEM_INIT), // 使用内存初始化 .MEMORY_INIT_FILE(MEMORY_INIT_FILE) // 内存初始化文件名 ) ( input wire CLK, // 时钟信号 input wire RST, // 复位信号 input wire CE, // 使能信号 input wire [ADDR_WIDTH-1:0] DINA, // 写入数据 input wire [ADDR_WIDTH-1:0] ADDRA, // 写入地址 output reg [DATA_WIDTH-1:0] DOUTB, // 读取数据 input wire [ADDR_WIDTH-1:0] ADDRB, // 读取地址 input wire WE, // 写使能信号 input wire [DATA_WIDTH-1:0] DATAB // 读取数据 ); ``` 其中,各个参数的含义如下: - `ADDR_WIDTH`:地址位宽 - `DATA_WIDTH`:数据位宽 - `INIT_FILE`:初始化文件名 - `WRITE_MODE`:RAM写模式 - `READ_MODE`:RAM读模式 - `RAM_STYLE`:RAM类型 - `READ_LATENCY`:读取延迟 - `WRITE_LATENCY`:写入延迟 - `CLK_POLARITY`:时钟极性 - `ASYNC_READ`:异步读使能 - `USE_MEM_INIT`:使用内存初始化 - `MEMORY_INIT_FILE`:内存初始化文件名 - `CLK`:时钟信号 - `RST`:复位信号 - `CE`:使能信号 - `DINA`:写入数据 - `ADDRA`:写入地址 - `DOUTB`:读取数据 - `ADDRB`:读取地址 - `WE`:写使能信号 - `DATAB`:读取数据 使用xpm_cdc_array_single生成的RAM是一个时钟域交叉域转换(CDC)单口RAM,可用于FPGA设计中的异步数据传输。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值