Dualedge

  module top_module (
2      input clk,
3      input d,
4      output q
5  );
6      reg neg_q, pos_q;
7    
8      always@(negedge clk)//negedge triggered flip-flop
9          neg_q <= d; 
10     always@(posedge clk)//posedge triggered flip-flop
11         pos_q <= d;  
12      assign q = clk ? pos_q : neg_q;//a mux for out       
13    
14 endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值