牛客网Verilog刷题——VL37

牛客网Verilog刷题——VL37

题目

  请使用D触发器设计一个同时输出2/4/8分频的50%占空比的时钟分频器,注意rst为低电平复位。信号示意图:

在这里插入图片描述
  波形示意图如下:

在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireIntput1系统时钟信号
rstwireIntput1异步复位信号,低电平有效
clk_out2regOutput12分频时钟信号
clk_out4regOutput14分频时钟信号
clk_out8regOutput18分频时钟信号

答案

`timescale 1ns/1ns

module even_div
    (
    input     wire rst ,
    input     wire clk_in,
    output    wire clk_out2,
    output    wire clk_out4,
    output    wire clk_out8
    );
//*************code***********//
reg	[2:0]	cnt;
reg			sr_clk_out2;
reg			sr_clk_out4;
reg			sr_clk_out8;

//分频计数器
always @(posedge clk_in or negedge rst)
	if(!rst)
		cnt <= 'd0;
	else if(cnt == 'd7)
		cnt <= 'd0;
	else
		cnt <= cnt + 1'd1;

//二分频时钟
always @(posedge clk_in or negedge rst)
	if(!rst)
		sr_clk_out2 <= 1'b0;
	else 
		sr_clk_out2 <= ~sr_clk_out2;

//四分频时钟		
always @(posedge clk_in or negedge rst)
	if(!rst)
		sr_clk_out4 <= 1'b0;
	else if(cnt=='d0 || cnt=='d2 || cnt=='d4 || cnt=='d6)
		sr_clk_out4 <= ~sr_clk_out4;
	else
		sr_clk_out4 <= sr_clk_out4;

//八分频时钟
always @(posedge clk_in or negedge rst)
	if(!rst)
		sr_clk_out8 <= 1'b0;
	else if(cnt=='d0 || cnt=='d4)
		sr_clk_out8 <= ~sr_clk_out8;
	else
		sr_clk_out8 <= sr_clk_out8;

assign clk_out2 = sr_clk_out2;
assign clk_out4 = sr_clk_out4;
assign clk_out8 = sr_clk_out8;
//*************code***********//
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值