仲裁器设计——Verilog源码



引言

本文就固定优先级仲裁器和轮询调度仲裁器作简单阐述并实现。给出设计、测试源码。

仲裁请求个数以 4 为例进行说明



固定优先级仲裁

不论何时,不论何种情况,仲裁的优先级总是 1号 > 2号 > 3号 > 4号。这个在FPGA里用 Verilog是比较容易实现的。

设计源码

// ====================================================================
// | 功能:固定优先级仲裁器
// | 作者:Xu Y. B.
// | 时间:2023-02-11
// | 注意:支持4个仲裁请求 
// |       优先级:1 > 2 > 3 > 4
// ===================
  • 6
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

在路上-正出发

哈哈,多少是个心意

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值