Verilog 编程——事件/脉冲融合



引言

再来记录一道今年海康的实习机试题目,这个相对简单很多。关于多个不同时到达的脉冲信号融合的问题。

题目描述

eof 表示一件事情的结束脉冲,为表示两件不确定先后顺序的事情都已结束,需要将两个结束脉冲进行融合,输出一个脉冲,清完善以下代码: 

module event_merge(
input 				clk,
input 				rst_n,
input 				eof1,//脉冲信号,时长:1个clock
input 				eof2,//脉冲信号,时长:1个clock
output wire 		eof
    );

思路

最简单的思路就是对脉冲计数,当然也要考虑事件脉冲同时到达的情况,以免出现bug。如有其他思路,欢迎评论区交流~~

解题

设计代码:


// =======================================================
  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

在路上-正出发

哈哈,多少是个心意

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值