Day2-3开发流程篇之ISE 14.7

本文详细介绍了使用ISE 14.7进行Xilinx Spartan-6 FPGA开发的过程,包括建立工程、添加源文件、综合、布局布线、仿真、分配引脚、烧录及硬件验证等步骤,特别提到了解决驱动冲突的问题。
摘要由CSDN通过智能技术生成

这篇文章记录《xilinx SPARTAN-6 》 系列的基本开发流程(我用的是ISE 14.7)

一、建立工程

1、打开ISE的新建工程向导

图片

2、选择工程存放位置为isepri目录,名字为and_gate2_1

图片

3、选择Spartan6系列的XC6SLX9芯片,封装为TQG144,仿真工具选择Isim,语言选择verilog,VHDL的语法标准选择2000版本,93版本比较老

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

BinaryStarXin

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值