function函数的使用

87 篇文章 34 订阅 ¥19.90 ¥99.00

function函数的使用

规则:

  1. 函数必须至少含有一个输入变量,不能有任何输出或输入/输出双向变量。
  2. 函数不能包含时钟控制语句(如延迟#、事件控制@或者等待wait)。
  3. 函数是通过对函数名赋值的途径返回其值的,就好比是一个寄存器。
  4. 函数不能启动任务。
  5. 函数不能被禁用。

注意:

  1. 函数的输入变量不能像模块的端口那样列在函数名后的括弧里;在声明输入时把这些输入端列出即可。
  2. 如果函数包含一条以上的语句,这些语句必须包含在begin-end或fork-join块中。

可综合性问题:

函数每一次调用都被综合为一个独立的组合逻辑电路块。

module tryfunct(clk,n,result,reset);
	output [31 : 0]  result;
	input [3 : 0] n;
	input reset,clk;
	reg [31 : 0] result;

always@(posedge clk)
begin
	if(!reset)
		result <= 0;
	else
	begin
		result <= n*factorial(n)/((n*2)+1);
	end     //verilog在整数除法运算结果中不考虑余数
end

function [31 : 0] factorial;//函数定义,返回的是一个32位的数
	input [3:0] operand;//输入只有一个4位的操作数
	reg [3:0] index;//函数内部计数用中间变量
	begin
		factorial = operand ? 1 : 0;//先定义操作数为零时函数的输出为零,不为零时为1
		for(index = 2;index <= operand ; index = index +1)
			factorial = index * factorial; //表示阶乘的算术迭代运算
	end
endfunction

endmodule

欢迎关注我,关于FPGA的问题欢迎留言讨论!
在这里插入图片描述

  • 5
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值