Verilog 刷题-Exams/2013 q2afsm

module top_module (
    input clk,
    input resetn,    // active-low synchronous reset
    input [3:1] r,   // request
    output [3:1] g   // grant
); 
    reg [1:0]next_state,state;
    parameter a=0,b=1,c=2,d=3;
    always@(*)begin 
        case(state)
            a:if(r[1]) next_state <=b;
                else if(r[2])next_state <= c;
                else if (r[3]) next_state <=d;
                else  next_state = a;
            b:next_state = r[1]?b:a;
            c:next_state = r[2]?c:a;
            d:next_state = r[3]?d:a;
        endcase
    end
    always@(posedge clk)begin 
        if(~resetn)state <= a;
        else state<= next_state;
      
    end
    always@(*)begin 
         case(state)
            b:g<=3'b001;
            c:g<=3'b010;
            d:g<=3'b100;
            a:g<=3'b000;
        endcase
    end
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值