Verilog 刷题-Countslow

要求计数器从0到9,且到9的下一个时钟置0;

暂停功能为0时,暂停计数;

reset为1时置零。

module top_module (
    input clk,
    input slowena,
    input reset,
    output [3:0] q);
    always@(posedge clk)begin
        if(reset)q<=0;
        else if(slowena&q!=9)q<=q+1;
        else if(~slowena&q==9)q<=9;
        else if(slowena&q==9)q<=0;
    end
endmodule

思路就是暂停功能没激活的时候才开始计数。其他的跟上一题是一样的。

需要注意的是,计数到9的时候要判断暂停功能是否激活,以免直接计数到9的下一个时钟就置0

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值