用modelsim进行仿真/用if-else语句描述一个二选一多路选择器。

具体步骤如下:

1:新建一个项目,建立项目名称。

2,选择verilog类型,点击ok

3,建立项目成功后,点击编辑,然后录入代码

4,最左边的单击运行,

5,运行成功后,找到项目源。点击,ok

6,右键单击项目,A W,

7,对其中一个单击,并全选,右键单击clock

8,对该数据进行修改,修改后,再修改框中比例。

9,修改成功后,点击框右边的图标,运行,即可完成。

仅供参考,有错的地方欢迎提出建议。

  • 2
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值