modelsim仿真二选一多路选择器testbench

`include "muxtwo.v"

      module t;

      reg ain,bin,select;

      reg clock;

      wire outw;

    initial

    begin

      ain=0;

      bin=0;

      select=0;

      clock=0;

    end

 

      always #50 clock=~clock;

      

      always @(posedge clock)

        begin

   #1ain={$random}%2;

   #50bin={$random}%2;

 end

 

 always #1000 select=!select;

        muxtwo m(.out(outw),.a(ain),.b(bin),.sl(select));

      endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

人生如象棋

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值