关于AHB slave的接收处理策略及异步FIFO写满的处理

1. 由于AHB总线在hsize, hburst, htrans等上的复杂组合,slave设计会比较复杂。什么样的

   设计方式能最大提高性能?目前看到两种方式

   A. 把命令和数据分别buffer,提高突发写深度,可以提高性能。

      状态机要处理总线的控制和数据,以分发命令和数据到相应的buffer。

   B. 命令和数据放到一起buffer,会导致AFIFO宽度很长,不过也不是设计上不允许。

     状态机不会太复杂,组合少很多,更清晰。

B好!

2. 在异步FIFO中,写full的条件判断就是看地址是否相等,写地址高位是否翻墙了。

   由于读指针sync到写时钟域的延迟,所以相应比较条件要考虑这个延迟,具体下回

再详细叙述。

  

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
以下是一个简单的Verilog代码,实现了一个DMA控制器,其中包含一个DMA通道,支持1 x AXI master接口和1 x AHB slave接口异步: ```verilog module dma_controller( input logic clk, input logic rst, input logic [31:0] axi_m_addr, input logic [31:0] axi_m_data, input logic [31:0] axi_m_wstrb, input logic axi_m_valid, output logic axi_m_ready, output logic [31:0] ahb_s_addr, output logic [31:0] ahb_s_data, output logic ahb_s_write, output logic ahb_s_sel, input logic ahb_s_ack, input logic [31:0] dma_src_addr, input logic [31:0] dma_dst_addr, input logic [31:0] dma_len, input logic dma_start, input logic dma_enable, output logic dma_done ); // DMA状态机的状态定义 typedef enum logic [1:0] { IDLE, READ_AXI, WRITE_AHB, DONE } dma_state_t; dma_state_t dma_state; logic [31:0] dma_counter; always_ff @(posedge clk) begin if (rst) begin dma_state <= IDLE; dma_counter <= 0; ahb_s_addr <= 0; ahb_s_data <= 0; ahb_s_write <= 0; ahb_s_sel <= 0; dma_done <= 0; end else begin case (dma_state) IDLE: begin if (dma_start && dma_enable) begin dma_state <= READ_AXI; end end READ_AXI: begin if (axi_m_valid && axi_m_ready) begin ahb_s_addr <= dma_dst_addr; ahb_s_data <= axi_m_data; ahb_s_write <= 1; ahb_s_sel <= 1; dma_counter <= dma_len - 1; dma_state <= WRITE_AHB; end end WRITE_AHB: begin if (ahb_s_ack) begin ahb_s_addr <= ahb_s_addr + 4; ahb_s_data <= 0; ahb_s_write <= 0; ahb_s_sel <= 0; dma_counter <= dma_counter - 1; if (dma_counter == 0) begin dma_state <= DONE; end else begin dma_state <= READ_AXI; end end end DONE: begin dma_done <= 1; dma_state <= IDLE; end endcase end end assign axi_m_ready = (dma_state == READ_AXI); endmodule ``` 在这个代码中,我们定义了一个包含状态机的DMA控制器,它通过AXI master接口读取数据并将其写入AHB slave接口。当DMA控制器收到开始传输信号时,它将进入状态机并开始执行传输。 在状态机的“IDLE”状态下,DMA控制器等待传输开始信号。当收到该信号时,它将进入“READ_AXI”状态,开始从AXI master接口读取数据。 在“READ_AXI”状态下,DMA控制器将等待AXI master接口的数据有效信号。一旦数据有效,它将使用AHB slave接口将数据写入目标地址,并将计数器设置为传输长度减1。然后,它将进入“WRITE_AHB”状态,等待AHB slave接口的确认信号。 在“WRITE_AHB”状态下,DMA控制器将等待AHB slave接口的确认信号。一旦确认,它将递增目标地址和计数器,并检查是否已传输完所有数据。如果是,则进入“DONE”状态,否则返回“READ_AXI”状态并继续传输。 在“DONE”状态下,DMA控制器将设置传输完成信号并返回“IDLE”状态。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值