初入FPGA之ISE遇到的问题(1)

本文介绍了FPGA新手在使用ISE进行设计时可能会遇到的常见问题,包括IOB组件不兼容错误、always块中赋值规则、wire与reg类型的使用以及避免产生锁存器的注意事项。通过理解这些问题,有助于初学者更好地理解和修正FPGA设计中的错误。
摘要由CSDN通过智能技术生成

1.编译时出现报错:

ERROR:Pack:1107 - Pack was unable to combine the symbols listed below into a

   single IOB component because the site type selected is not compatible.

即名字为IP_LXXX_X的引脚只能作为专用输入引脚,而不能作为输出引脚。

这是我在写约束文件是,误将开发板的开关引脚写入了输出引脚,这是专用输入引脚,不能输出。


2.always中的赋值只能赋值给reg

  • 4
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值