HDLBits练习——Exams/m2014 q4b

Implement the following circuit:
在这里插入图片描述


前言

三个输入,包括一个时钟clk,一个高电平有效的异步置位信号ar,一个信号输入d;一个输出信号q。

代码

module top_module (
    input clk,
    input d, 
    input ar,  
    output q);
    always@(posedge clk or posedge ar)begin
        if(ar) q<= 1'b0;
        else q<= d;
    end
endmodule

总结

同步/异步置位信号的区别在于always后面列表的写法上,如果是同步置位那么敏感列表只有时钟,置位与时钟同步;但如果是异步置位,则敏感列表必须添上置位信号,时钟未触发但置位触发时,发生有效置位。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值