HDLBits练习——Fsm2s

This is a Moore state machine with two states, two inputs, and one output. Implement this state machine.

This exercise is the same as fsm2, but using synchronous reset.
在这里插入图片描述


前言

四个输入,包括一个时钟clk,一个高电平有效的同步置位信号reset,两个输入信号 j 和 k ;一个输出信号out。

代码

module top_module(
    input clk,
    input reset,   
    input j,
    input k,
    output out); 

    parameter OFF=1'b0, ON=1'b1; 
    reg state, next_state;

    always @(*) begin
        if(state==OFF) next_state=j?ON:OFF;
        else next_state=k?OFF:ON;
    end

    always @(posedge clk) begin
        if(reset) state<=OFF;
        else state<=next_state;
    end

    assign out=state;

endmodule

总结

由于next_state由state、j 和 k共同决定,根据状态机示意图,使用 if 语句加一个判断语句就能实现,详细可见组合逻辑段落。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值