FPGA学习笔记(三)——Verilog时序逻辑设计与验证

主要讲解了同步清零和异步清零以及如何编写程序及仿真? 

//时序逻辑
module counter(
	clk,
	en,
	clr,
	cnt_value
);
	input clk;//时钟信号
	input en;//复位信号
	input clr;//清零信号
	output [3:0] cnt_value;
	
	reg [3:0] cnt;//计数器
	//异步清零
	always@ (posedge clk or posedge clr)
	if(clr)
	    cnt <= 4’b0;
	else if(en) begin
	    cnt <= cnt + 1’b1;
	end
	//同步清零
        always@ (posedge clk)
	if(clr)
	    cnt <= 4’b0;
	else if(en) begin
	    cnt <= cnt + 1’b1;
	end
	assign cnt_value = cnt;

仿真验证:

//仿真 计数器 异步清零
//仿真文件
`timescale 1ns/1ps
`define clk_period 10
module counter_tb;	
	//激励信号,也称输入信号
	reg clk_t;
	reg en_t;
	reg clr_t;
	//输出信号
	wire [7:0] cnt_value_t;
	//模块实例化
	counter_test counter_test1(
		.clk(clk_t),
		.en(en_t),
		.clr(clr_t),
		.cnt_value(cnt_value_t[7:4])
	);
	counter_test counter_test2(
		.clk(clk_t),
		.en(en_t),
		.clr(clr_t),
		.cnt_value(cnt_value_t[3:0])
	);
	initial clk_t = 1;
	//时钟信号
	always #( `clk_period/2) clk_t = ~clk_t;
	initial begin
		en_t = 0;
		clr_t = 0;
		#(` clk_period*20);
		clr_t = 1;
		#(` clk_period*20);
		clr_t = 0;
		#(` clk_period*20);
		en_t = 1;
		#(` clk_period*200);
		en_t = 0;
                #(` clk_period*50);
		clr_t = 1;
		#(` clk_period*50);
		clr_t = 0;
		$stop;//终止仿真
endmodule 

实例:

要求:

点亮LED灯;

以20HZ(周期50ms,25ms亮,25ms暗)的频率闪烁。时钟周期是20ns。

实现LED灯翻转,是否需要计数器,计数器的位宽是对少,计数的值是多少。

实现:50ms实现LED灯翻转,即2 500 000个时钟周期之后,进行翻转。所以,需要计数器,统计2 500 000个时钟周期,位宽是:22,计数最大值是2 499 9999.

module counter(clk,rst_n,en,led);
	input clk;
	input rst_n;
	input en;          //使能信号
	output [3:0]led;   //4个led灯
	
	reg [21:0]cnt;     //计数器
	reg [3:0]led_r;
	
	parameter cnt_max = 22'd2_499_999;  //led每个50ms变化一次(20*2499_999 = 50_000_000ns)
	
	always@(posedge clk or negedge rst_n)
	if(!rst_n)
	   cnt <= 22'd0;
	else if(en)
	   begin
		if(cnt == cnt_max)
		   cnt <= 22'd0;
		else
		   cnt <= cnt + 1'b1;
	   end 
	else 
	   cnt <= 22'd0;
	
	always@(posedge clk or negedge rst_n)
	if(!rst_n)
	   led_r <= 4'b1110; //led_r低电平点亮
	else if(cnt == cnt_max)
	   led_r <= {led_r[0],led_r[3:1]};   //循环右移点亮一位led灯
	else	
	   led_r <= led_r;  //使LED灯保持原状
		
	assign led = led_r;

endmodule 

 

“时序”最容易联想到就是“时序图”,亦即模块的输出。换句话说“时序”是模块执 行过程的显性记录。一般在仿真上,模块的时序图都是在理想状态下(没有任何物理上 的问题)产生的。时序图里边包含了模块最清洁的执行记录。这些信息对于“细化”模 块来说有很大的帮助。然而影响着这些时序就是Verilog HDL 语言本身。 很多时候,虽然低级建模(建模技巧)已经可以帮助我们完成许多模块设计上的要求, 但是低级建模始终是属于“建模”的层次,亦即“塑造”模块一个大概的形状,而且是 粗糙的东西而已。这粗糙的东西,效果能不能发完全发挥? 我们需要经过“细化”它才 知道结果。 要完成“细化”的过程一点也不可以马虎。早期的建模必须留下可以“细化”的种子。 此外我们还要往模块更深入去了解它,去分析它,如果有模块有问题就调试它。这全部 的工作要求,更进一步挑战我们对Verilog HDL 语言的认识和掌握的程度。有关这一点, 再也不是:了解Verilog HDL 语言相关的语法如何使用?如何使用Verilog HDL 语言建 立一个模块?等这些Verilog HDL 语言“外观的单纯工作”,而是“深入分析”模块执 行时的“内部细节”。关于模块一切的一切过程,我们只能在“时序图”上了解而已。 这就是这本笔记命名的初衷。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值