Verilog D锁存器

简介:
用门级描述的方法写一个D锁存器,并对其逻辑功能进行测试。D锁存器的真值表,逻辑表达式和逻辑电路图如下:
在这里插入图片描述

Verilog代码实现:

/*----------------------------------------------
Filename: D_latch.v
Function: 逻辑门控D锁存器(方案1的描述,方案2与之类似)
Author: Zhang Kaizhou
Date: 2020-7-22 09:40:45
----------------------------------------------*/
module D_latch(q, nq, en, d);
	output q, nq;
	input en, d
  • 1
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值