HDLBits 答案之Edgecapture

 这题还是很巧妙的。首先用了两个always 块,使得输入值在下一个时钟赋给中间值,并且这一操作不会跟Reset always块起冲突。 其次,将检测信号与输出信号相或,得以使输出信号一直维持下去,而不会因为检测信号消失而消失。

module top_module (
    input clk,
    input reset,
    input [31:0] in,
    output [31:0] out
);
    reg [31:0]in1;
    always @(posedge clk) 
      in1<= in; 
    
    always @(posedge clk) begin
        if (reset)
             out <= 0;
        else 
            
             out<= ~in&in1 | out;
    end
    
 
endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值