HDLbits-状态机05/06-Fsm3comb

 

 

module top_module(
    input in,
    input [1:0] state,
    output [1:0] next_state,
    output out); //

    parameter A=0, B=1, C=2, D=3;

    always@(*)
    case(state)
        A:
            if(in)
                next_state=B;
       		else
           		next_state=A;
        B:
            if(in)
                next_state=B;
       		else
           		next_state=C;
        C:
            if(in)
                next_state=D;
       		else
           		next_state=A;
       D:
            if(in)
                next_state=B;
       		else
           		next_state=C;
         
    endcase// State transition logic: next_state = f(state, in)
   always@(*)
     case(state)
        A:
           out=0;
        B:
           out=0;
        C:
           out=0;
        D:
           out=1;
     endcase // Output logic:  out = f(state) for a Moore state machine

endmodule

 

 

module top_module(
    input in,
    input [3:0] state,
    output [3:0] next_state,
    output out); //

    parameter A=0, B=1, C=2, D=3;

    // State transition logic: Derive an equation for each state flip-flop.
    assign next_state[A] = state[A]&(~in)|state[C]&(~in);
    assign next_state[B] = state[A]&in|state[B]&in|state[D]∈
    assign next_state[C] = state[B]&(~in)|state[D]&(~in);
    assign next_state[D] = state[C]∈

    // Output logic: 
    assign out = state[D]==1;

endmodule

 

写成 assign out=state==D;会出错

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值