VCS仿真时延无输出问题

        在verilog仿真时,为了表征某段连线上的时间延迟,一般通过在连续赋值语句中增加时间延迟。如 assign #10 b=a; 注意该表达式是不可综合的,一般用在仿真模型中。

        vcs仿真时发现该时间延迟语句无输出,如下所示:

        经过检查发现:vcs仿真时,时间延迟语句的延时时间不能超过一个周期,若延时超过一个周期则无输出,如上所示。延时短于一个周期则可以正常输出。

        但实际电路无此要求,该问题仅是vcs的仿真处理问题。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

哇哈哈的哈

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值