HDLBits_Fsm serialdp

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output [7:0] out_byte,
    output done
); //
    parameter data=0,idle=1,start=2,stop=3,waiting=4,parity=5;
    reg [3:0] count;
    reg [2:0] state,next_state;
    wire odd,reset_p;
    
    parity inst1(clk,reset_p,in,odd);
    
    always@(*)begin
        case (state)
            data:next_state = count>7 ? (in^odd ? parity:waiting):data;
            parity:next_state = in ? stop:waiting;
            idle:next_state = in ? idle:start;
            start:next_state = data;
            stop:next_state = in ? idle:start;
            waiting:next_state = in ? idle:waiting;
        endcase
    end
    
    always@(posedge clk)begin
        if(reset)
            state <= idle;
        else
            state <= next_state;
    end
    
    always@(posedge clk)begin
        if(next_state==data)begin
            count<=count + 4'd1;
            out_byte[count] <= in;
        end   
        else
            count<=4'd0;
    end

    assign reset_p = (next_state == start || reset == 1'b1);
    assign done = (state==stop);

endmodule

感觉写了一百遍

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值