超声波测距及报警Verilog代码Quartus仿真

本文介绍了如何在Quartus环境下,利用Verilog编写代码实现超声波测距功能,并通过数码管显示距离,当超过设定距离时触发报警。详细步骤包括硬件连接、程序设计和模拟验证。
摘要由CSDN通过智能技术生成

名称:超声波测距及报警Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

超声波测距及报警

1、使用超声波模块进行测距

2、距离使用数码管显示,单位mm

3、当超过距离大于设定距离时报警

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. Testbench

6. 仿真图

部分代码展示:

//硬件连接:超声波传感器J2连核心板P3(传感器),温度传感器板J3连接核心板P6(数码管显示)
module ultrasonic(
input clk,//时钟50M
input reset,//低复位
input  OUT,//回波信号
output IN,//发送的40K超声波
output beep,//蜂鸣器报警
output [2:0] bit_sel,//数码管位选
output [7:0] seg_sel//数码管段选
);
wire clk_40K;//分频到40K
wire [12:0] distance; //距离,单位mm
//分频模块
div_clk i_div_clk(
. clk(clk),//50M
. clk_40K(clk_40K)//分频到40K
);
//控制模块
control i_control(
. clk(clk),//时钟50M
. reset(reset),//低复位
. clk_40K(clk_40K),//分频到40K
. beep(beep),
. OUT(OUT),//回波信号,低电平表示接收到回波
. IN(IN),//发送的40K超声波
. distance(distance) //距离,单位mm
);
源代码

 扫描文章末尾的公众号二维码

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值