vcs仿真vivado工程(含gt)

pre1.vivado版本与vcs版本要匹配,这里vivado2019.2和vcs2018.09,vcs需要是vcs-mx(gt仿真需要)

1.针对vcs,vivado生成基本仿真库

touch synopsys_sim.setup
vivado -nojournal -mode tcl -source vivado.tcl -tclargs vcs

其中vivado.tcl内容为:

compile_simlib -language verilog -library unisim -no_ip_compile -simulator [lindex $argv 0] -directory vivado_libs
exit

2.编译相关文件

vlogan -full64 -debug_access+all -sverilog -kdb -lca -work WORK +v2k +vcs+lic+wait -timescale=1ns/1ps -f verdi.f

其中要包括xilinx global文件:

${XILINX_VIVADO}/data/verilog/src/glbl.v

其中ip相关文件格式如下:

gt_ip_name/source/*.v
gt_ip_name/ip_0/hdl/*.v
gt_ip_name/ip_0/sim/*.v
gt_ip_name/sim/ip.v

3.vcs生成仿真文件

vcs -full64 -debug_access+all -sverilog -kdb -lca WORK.${TOP_NAME} WORK.glbl -LDFLAGS -Wl,--no-as-needed \
	-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab ${VERDI_HOME}/share/PLI/VCS/LINUX64/pli.a -l elaborate.log

4.进行仿真

./simv -l simu.log -ucli -do vcs.tcl

其中vcs.tcl格式如下:

fsdbDumpfile   "inter.fsdb"
fsdbDumpvars 0 "$env(TOP_NAME)"  +mda
run 1ms

5.仿真文件与波形查看

verdi -sv -nologo -autoalias -dbdir simv.daidir -ssf inter.fsdb &

  • 9
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值