$time,$realtime

$time任务返回仿真器的当前仿真时间。testbench中需要使用'timescale指出仿真时间单位(通常为1ns),当前仿真时间是一个64位整数乘以'timescale中的仿真时间单位后取整得到的整数结果,它不包含小数部分。$realtime以实数的方式返回当前的仿真时间,返回值包括小数部分。

$time应用举例

'timescale 1ns/10ps

initial 

begin

       $display(“time display”,$time);

       #9.4

       $display(“time display”,$time);

       #6.3

      $display(“time display”,$time);

end

仿真结果如下:

time display  0

time display  9: 9.4乘以时间单位,四舍五入到最接近的整数

time display  16:(9.4+6.3)乘以时间单位,四舍五入到最接近的整数

$realtime应用举例

'timescale 1ns/10ps

initial 

begin

       $display(“time display”,$realtime);

       #9.4

       $display(“time display”,$realtime);

       #6.3

      $display(“time display”,$realtime);

end

仿真结果如下:

realtime display  0

realtime display  9.4

realtime display  15.7

  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
timescale是一个用于定义时间单位的系统任务,可以通过定义timescale来确定仿真中的时间单位。timescale任务所定义的时间单位会影响到$realtime系统任务返回的仿真时间的值,该值会被自动缩放到timescale所定义的时间单位。 而$realtime系统任务可以获取当前的仿真时间,并且不受Time.timeScale的影响。当游戏被暂停时,$realtime系统任务仍然会继续增加,因此可以用来记录游戏实际运行的时间。 总结起来,timescale用于定义时间单位,而$realtime用于获取当前的实际运行时间。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [Verilog的时间系统任务----$time、$stime、$realtime](https://blog.csdn.net/wuzhikaidetb/article/details/125992226)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [【Unity】重要时间:TimeRealTime、FixedTime、DeltaTime、FixedDeltaTimeTimescale](https://blog.csdn.net/Ha1f_Awake/article/details/93196996)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值