#systemverilog# $time,$stime,$realtime的使用

本文介绍了SystemVerilog中的$time、$stime和$realtime系统函数。$time返回64位整数形式的时间,$stime返回32位无符号整数时间,两者都按模块的时间刻度单位缩放。$realtime则返回一个实数时间,同样基于模块的时间单位。在不同的timescale下,这些函数的表现和精度有所不同。
摘要由CSDN通过智能技术生成

<1>$time 系统函数

$time 系统函数返回一个64位整数大小的时间,按调用它的模块的时间刻度单位进行缩放。

`timescale 10 ns / 1 ns
module test;
    reg set;
    parameter p = 1.55;
    initial begin
        //$monitor($time,,"set=",set);
        #p set = 0;
        #p set = 1;
    end
    initial begin
        $monitor($time,,"set=",set);
    end
endmodule
 vcs 仿真结果如下:
                   0 set=x
                   2 set=0
                   3 set=1
           V C S 
  S i m u l a t i o n   R e p o r t 
Time: 32 ns  CPU time: 0.680 seconds Data structure: 0.0Mb

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值