ZYNQ-仿真

vivado软件仿真

`timescale 1ns / 1ps   仿真单位/仿真精度

reg :always
wire : assign

`timescale 1ns / 1ps

module tb_led_twinkle();

//输入
reg           sys_clk1;
reg           sys_rst_n;

//输出
wire  [1:0]   led;

//信号初始化
initial begin
    sys_clk1 = 1'b0;
    sys_rst_n = 1'b0;
    #200
    sys_rst_n = 1'b1;
end

//生成时钟
always #10 sys_clk1 = ~sys_clk1;//20ns周期

//例化待测设计
led_twinkle  u_led_twinkle(
    .sys_clk         (sys_clk1),
    .sys_rst_n       (sys_rst_n),
    .led             (led)
    );

endmodule


LIA硬件仿真

在这里插入图片描述查看五个数据
2048数据深度,项目占用资源多的时候不能太大

在这里插入图片描述width:位宽

在这里插入图片描述

用RAM读写数据,结果图
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值