带PLL的全局时钟管理模块

模块设计步骤:
1)板卡开机上电。上电50ms延时。

2)开始启动PLL。PLL不能被复位,保证工作期间只有一次上电配置机会。

3)PLL之后综合锁相信号、外部复位信号,实现”异步复位,同步释放”的复位逻辑。

设计过程:
在每个FPGA–>src文件夹中有这样三个文件:
这里写图片描述

sys_pll中是锁相生成的pll时钟
system_init_delay是开机上电50ms
system_ctrl_pll是例化前两个文件,并对输出的pll时钟和外部复位信号实现“异步复位,同步释放”的复位逻辑。
最后的输入输出信号为:

`timescale 1 ns / 1 ns
module system_ctrl_pll
(
    //global clock
    input               clk,
    input               rst_n,

    //synced signal
    output              clk_c0, //clock output  
    output              sys_rst_n   //system reset
);

三个文件通常放在一起使用,在顶层文件中直接例化上述输入输出信号,其他文件中的时钟和复位信号用例化后的信号。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值