Vivado 使用ila 出现的 bug

记录一下Vivado 使用的ila 调试时候出现的问题

问题:

下载到板卡中的结果正确,但是通过ila 抓信号的时候不正确。
vivado 版本2021.2.1

解决:

电脑重启,重新打开vivado

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado中的ILA(Integrated Logic Analyzer)是一种可编程的硬件调试工具,可用于分析FPGA设计中的时序和状态信息。以下是使用VHDL编写和使用ILA的基本步骤: 1. 在Vivado中创建一个新的VHDL工程。 2. 在设计中添加一个ILA核。 3. 在设计中添加需要分析的信号。 4. 编写一个VHDL测试程序,包含需要分析的信号的测试向量。 5. 将测试程序综合到FPGA中,并设置ILA以进行分析。 6. 运行测试程序并分析ILA捕获的信号。 下面是一个简单的VHDL代码示例,用于向FPGA中的LED灯发送一个脉冲信号并使用ILA分析: ```vhdl library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity test_ila is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; led : out STD_LOGIC); end test_ila; architecture Behavioral of test_ila is signal pulse : STD_LOGIC := '0'; begin process(clk, reset) begin if reset = '1' then pulse <= '0'; elsif rising_edge(clk) then pulse <= not pulse; end if; end process; led <= pulse; end Behavioral; ``` 在设计中添加ILA核和需要分析的信号后,可以使用以下VHDL代码将测试程序综合到FPGA中并设置ILA: ```vhdl library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity test_ila_tb is end test_ila_tb; architecture Behavioral of test_ila_tb is component test_ila is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; led : out STD_LOGIC); end component; signal clk : STD_LOGIC := '0'; signal reset : STD_LOGIC := '1'; signal ila_trig : STD_LOGIC := '0'; begin uut : test_ila port map (clk => clk, reset => reset, led => open); process begin clk <= not clk after 5 ns; end process; process begin wait for 100 ns; reset <= '0'; wait for 100 ns; ila_trig <= '1'; wait for 100 ns; ila_trig <= '0'; wait for 100 ns; reset <= '1'; wait; end process; end Behavioral; ``` 在测试程序中,将reset信号设置为'1',然后在100ns时将其设置为'0',然后在另外100ns时将ILA的触发信号ila_trig设置为'1',然后在100ns后将其设置为'0',最后在无限等待中将reset信号设置为'1'。 最后,运行测试程序,然后使用VivadoILA工具分析捕获的信号。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值