Verilog 数组的访问

reg [4:0] port_id[0:7]; // Array of 8 port_ids; each port_id is 5 bits wide   一维数组,数据长度数5bit
port_id[3] = 0; // Reset 3rd element (a 5-bit value) of port_id array


reg [63:0] array_4d [15:0][7:0][7:0][255:0]; //Four dimensional array
array_4d[0][0][0][0][15:0] = 0; //Clear bits 15:0 of the register accessed by indices [0][0][0][0]
 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值