Verilog 数组或向量的变量索引问题

Verilog中数组可以如下定义:

        reg [data_width - 1 : 0] array [array_depth - 1 : 0];

        wire[data_width - 1 : 0] array [array_depth - 1 : 0];

1.只有定义数组为reg类型的时候,才能在索引中加入变量,例如:

        integer i;

        reg [1 : 0] i;

        wire [1 : 0] i;

        array[i]是合法的引用

如果用包含有变量的索引值去索引wire类型定义的数组,就会出现以下error:

ERROR: [VRFC 10-2951] 'i' is not a constant [.../xxx.v:xxx]

2.变量作为索引值时,只能索引向量中的单bit或者二维数组中的单个元素

        也就是说,索引方括号[]中,不能出现:、-:、+:等,否则同样会报以上错误。

        例如:上面的例子中,array[i : 0]就是不合法的。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值