Verdi使用教程

引言

首先需要明确的是Verdi只是一个查看波形的文件,搭配模式有VCS+verdi、Irun+verdi、queta+verdi。在本系列中用VCS和verdi搭配起来熟悉。

lab1

任务目标是:用VCS产生fsdb文件

fsdb文件是Verdi用来查看波形所需的文件,这里我就不对我的RTL进行介绍了,因为是以前在quartus下面验证过的。
在这里插入图片描述 vcs ex_pulse_triger.v ex_pulse_triger_tb.v pulse_out_module.v +v2k -debug_all
其中因为我的环境变量里面已经设置好了vcs=vcs -full64所以只需要输入vcs即可,然后+v2k代表支持2001的语法,得到文件如下
在这里插入图片描述
另外你也许会报错,最后没法生成.simv的文件
vcs -cpp gcc -4.4.7 ex_pulse_triger.v ex_pulse_triger_tb.v pulse_out_module.v +v2k -debug_all

在这里插入图片描述
下面先使用第一种方法,在tb文件里面加上系统函数,然后生成fsdb文件。
实际上又会出现下面错误
Undefined System Task call to ‘$fsdbDumpfile’
解决办法
三个要点:
1、 把NOVAS_HOME和LD_LIBRARY_PATH这两个变量设对
2、VCS加-fsdb参数
3、VCS和VERDI的版本不要相差太远

  • 4
    点赞
  • 46
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值