system verilog的竞争

module design_race;

logic clk;
logic rstn;
logic [3:0] a;
logic [3:0] b;
int clk_cnt;

  initial begin
    clk <= 0;
    forever begin
      #5ns clk <= !clk;
    end
  end

  initial begin
    #10ns; 
    rstn <= 1;
    #10ns; 
    rstn <= 0;
    #10ns;
    rstn <= 1;
    #30ns $finish();   //后加的,之前版本没有,未加之前就是无限循环
  end

  always @(posedge clk or negedge rstn) begin
    if(rstn == 0) begin
      a <= 0;
      b <= 0;
    end
    else begin
      a <= a + 1;
      b <= a;
      $display("@%0t a=%0d, b=%0d", $time, a, b);

    end
  end


endmodule
 

编译的结果

@5 a=x, b=x
@15 a=x, b=x
@35 a=0, b=0
@45 a=1, b=0
@55 a=2, b=1
$finish called from file "design_race.sv", line 24.
$finish at simulation time         

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值