FPGA自学:计数器

 Verilog实现代码:

module Counter(clk,en,rst,cnt_value);

input clk;
input rst;
input en;
output [3:0]cnt_value;


reg [3:0]cnt;

always @(posedge clk or negedge rst)
if(!rst)
	cnt <= 4'd0;
else if(en)begin
	cnt <= cnt+1'b1;
	end
	
assign cnt_value = cnt;

endmodule

Modelsim仿真代码:

`timescale 1ns/1ns
`define clk_period 10

module Counter_tb;

//信号激励
reg clk_t;
reg en_t;
reg rst_t;

wire[3:0]cnt_value_t;

//例化
Counter Counter1(
	.clk(clk_t),
	.en(en_t),
	.rst(rst_t),
	.cnt_value(cnt_value_t[3:0])); 

//时钟周期100M:10ns 1个周期 
initial clk_t = 1;
always #(`clk_period/2) clk_t = ~clk_t;

initial begin
	en_t = 0;
	rst_t = 1;
	#(`clk_period*20);
	rst_t = 0;
	#(`clk_period*20);
	rst_t = 1;         //rst复位给初始值
	#(`clk_period*20);
	en_t = 1;
	#(`clk_period*200); //en有效一段时间 
	en_t = 0;
	#(`clk_period*50);
	rst_t = 0;
	#(`clk_period*50);
	rst_t = 1;
	$stop;

end

endmodule

 仿真结果:

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值