#VCS# 关于fsdb波形加载的几个系统控制函数

1. $fsdbDumpfile 函数

语法解析:

$fsdbDumpfile(fsdb_name[,limit_size])

含义:
指定波形文件名,第二个参数可选择用来限制波形的大小(MB)。
例如:

$fsdbDumpfile(“tb_top.fsdb”,10240);

其中文件名可能被$fsdbDumpvars覆盖。

2. $fsdbDumpvars 函数

语法解析:

$fsdbDumpvars([depth, instance][, “option”])

含义:
depth:表示要加载波形的层次;0表示当前instance下的所有变量以及其它module实例的波形,1表示当前instance中的变量的波形,不包括当前instance中的其它module实例的波形,2表示包含当前instance以及其中的第一级子instance的波形;以此类推。
instance:指定要加载波形的module名。
option:加载波形的选项,如:

+all 加在以下所有选项。。。
+IO_Only只加载IO port信号
+Reg_Only只加载reg类型信号
+mda加载all memory signals 和MDA信号
+packedmda加载packed MDA
+struct加载structs(默认开启)
+power加在power 相关信号(默认开启)
+parameter加载parameter
+strength使能strength dumping
+fsdbfile+filename指定fsdb文件名字

举例:

$fsdbDumpvars(0,“tb_top”,"+power","+struct","+mda");

3.$fsdbDumpon/$fsdbDumpoff

语法解析:

$fsdbDumpon/$fsdbDumpoff([“option”])

含义:
控制波形加载的开始和结束;
$fsdbDumpoff之后,将停止记录信号值的变化,直到$fsdbDumpon,从当前时刻开始记录信号值的变化。
option—选项,如:
+fsdbfile+filename – 指定将特定文件的波形加载打开与关闭,如果不指定,则默认指当前仿真所有的波形文件;


4.$fsdbAutoSwitchDumpfile

语法解析:

$fsdbAutoSwitchDumpfile(file_size, “fsdb_name”, number_of_files[, “log_file_name”,“+fsdb+no_overwrite”])

当波形的大小达到限制后自动以一个新的波形文件起始加载波形;
在所有的波形文件加载完成后,会创建一个virtual FSDB文件,查看波形时只需要加载此文件就可以合并所有的波形文件。
file_size—波形文件大小限制,单位为MB,最小为10M,若值小于10M则默认10M;
file_name—波形文件的名字,在实际加载波形时,文件名为file_name_000.fsdb file_name_001.fsdb…;
number_of_files—最多可以加载多少个file_size这么大的波形文件,如果写为0,则默认没有限制;
log_file_name—指定log文件的名字;
+fsdb+no_overwrite—当number_of_files限制的个数达到时停止dump波形;
 

5.$fsdbDumpflush

语法解析:

$fsdbDumpflush()

含义:
在仿真过程中强制将信号值加载到波形中,便于在仿真过程中查看波形;

6.$fsdbDumpFinish
语法:

$fsdbDumpFinish()

含义:
在仿真过程中调用,停止dump波形;

7.$fsdbSwitchDumpfile

语法解析:

$fsdbSwitchDumpfile(“new_file_name”[, “+fsdbfile+src_file”])

含义:
关闭现有的波形文件,以一个新的文件名开始加载波形;
new_file_name—创建一个新的波形文件,将波形加载到这个文件中;
+fsdbfile+src_file—指定要停止加载并关闭的波形文件, 这个参数不指定时,将默认使用当前正在加载的波形文件;

8.$fsdbDumpvarsByFile
语法:

$fsdbDumpvarsByFile(“text_file_name”[, “option”])

含义:
类似于$fsdbDumpvars,这个方法支持将需要加载波形的instance写在文件中。
text_file_name—文本文件,指定要dump波形的instance;
option—同$fsdbDumpvars中的option参数;

9.$fsdbDumpMDA
语法:

$fsdbDumpMDA();

含义:
fsdb dump波形时会记录二维数组2D array signal的值,便于在verdi中debug查memory内部信号。

10.$fsdbDumpSVA

$fsdbDumpSVA();

含义:
fsdb dump波形时会记录断言语句。

  • 3
    点赞
  • 83
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值